CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpld 原理图

搜索资源列表

  1. 11

    0下载:
  2. CPLD控制的数据采集器原理图,欢迎下载评价
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:20500
    • 提供者:益青
  1. DSP123

    0下载:
  2. TMS320vc5402综合实验板原理图,包括STC89LE58RD+芯片,以及CPLD ,对嵌入式硬件系统开发,有很大参考价值
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:286769
    • 提供者:JOSH
  1. ByteblasterMV

    0下载:
  2. CPLD下载线缆byteblasterMV的说明文档和原理图,收集资料
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:474891
    • 提供者:肖峰
  1. armok01171712

    0下载:
  2. 高速ADC数字示波器项目,采用单片机与CPLD,包含原理图与C代码
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:211695
    • 提供者:raojin
  1. FPGA_CPLD-SHC

    0下载:
  2. 多款FPGA CPLD开发板的原理图,很好的线路设计参考
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:2273019
    • 提供者:james
  1. EPM7128SLC84-10chengxushili

    0下载:
  2. CPLD程序,ALTERA公司的EPM7128SLC84-10,PLCC84封装,已经调试过的程序,包含仿真文件,波形文件,VHDL语言程序,电路图以及PCB板和系统原理图,非常有用,尤其是初学EDA和CPLD、FPGA器件的人
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:156083
    • 提供者:xiaobo
  1. CPLDMSP430

    0下载:
  2. 本范例的四大用途: 1.DDS AD9856的应用,敢说是国内首创,从原理图到PCB到源代码全部验证通过; 2.CPLD EPM240的学习板:典型的使用CPLD做高速数据采集(ADS825是40MSPS的ADC); 3.MSP430F149的学习板; 4.高速ADC与DDS联合应用的范例板 -The four purposes of this example: 1.DDS AD9856 application, say is the first, from the sc
  3. 所属分类:SCM

    • 发布日期:2017-05-15
    • 文件大小:4074715
    • 提供者:jike
  1. m68k-bdm.rar

    0下载:
  2. freescale的bdm调试工具软件.包括原理图,和cpld源代码.,Freescale s BDM debugging tools. including schematics, source code and CPLD.
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-09
    • 文件大小:1151816
    • 提供者:gxliu
  1. altera_avr_down.rar

    0下载:
  2. 可用于ALTERA 的CPLD和AVR 单片机的并口下载器的电路原理图和PCB图(PROTEL DXP),经实验可直接使用。,ALTERA the CPLD can be used and the AVR MCU' s parallel port to download schematic and PCB circuit diagram, can be directly used by the experiment.
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:63015
    • 提供者:赵赵
  1. ILX509_7064

    2下载:
  2. 本文件是用CPLD(EPM7064)驱动线阵CCD(ILX509),其中包括原理图和程序-This document is a CPLD (EPM7064) driver line array CCD (ILX509), including schematics and procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-06
    • 文件大小:245629
    • 提供者:wagjur
  1. qq2

    0下载:
  2. Xilinx FPGA(CPLD) 下载电缆 原理图 -Xilinx FPGA (CPLD) download cable schematics Xilinx FPGA (CPLD) download cable schematic
  3. 所属分类:source in ebook

    • 发布日期:2017-04-05
    • 文件大小:18420
    • 提供者:古一
  1. davincihd_revf_ver6

    0下载:
  2. DaVinci HD CPLD Firmware Resources 这是TI原装开发板DM6467原理图的 CPLD的VHDL代码,是Spectrum Digital, Inc刚开发出来的! CPLD Firmware Project CPLD Firmware Project (Version 6).-DaVinci HD CPLD Firmware Resources This is the original TI development board DM6467 Schema
  3. 所属分类:DSP program

    • 发布日期:2017-03-29
    • 文件大小:460660
    • 提供者:liliang
  1. Multi_Debug_Card

    0下载:
  2. 利用Xilinx XC2C128(Xilinx CPLD)制做的台式电脑的Debug卡及原理图,对于不开机的主板,能侦测出CPU到北桥之间具体那根信号线空焊,用于快速维修不开机之主板。-The use of Xilinx XC2C128 (Xilinx CPLD) desktop computer system to do the Debug Card and schematic diagram for the motherboard does not boot, can detect the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1223288
    • 提供者:李德明
  1. SEED-DEC5416

    0下载:
  2. 5416原理图,包含了cpld,5402等的音频处理功能的原理图 -caculater
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-01
    • 文件大小:785252
    • 提供者:abiao
  1. DSPQQ2812

    0下载:
  2. QQ2812 开发板是一套基于TMS320F2812 DSP 的学习和二次开发平台。 1、提供了二十多个实验项目,同时提供完整的原理图、代码和常用的外围接口,完全可以 作为个人、公司、高校和研究所的初学者学习和教学的工具。 2、同时,引出DSP 所有的控制信号和CPLD 的32 个IO 信号,可以作为二次开发的开发 平台。 -QQ2812 development board is a TMS320F2812 DSP-based learning and the second
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-31
    • 文件大小:8332
    • 提供者:闫文成
  1. QQ2812manualV1.0

    0下载:
  2. QQ2812 开发板是一套基于TMS320F2812 DSP 的学习和二次开发平台。 1、提供了二十多个实验项目,同时提供完整的原理图、代码和常用的外围接口,完全可以 作为个人、公司、高校和研究所的初学者学习和教学的工具。 2、同时,引出DSP 所有的控制信号和CPLD 的32 个IO 信号,可以作为二次开发的开发 平台。 3、采用四层板设计,充分考虑了EMC,EMI 以及散热、安装方面的因素,最大可能的引出 了所有接口,因此他也可作为功能板直接嵌入到用户的产品当中,大大
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-13
    • 文件大小:3251533
    • 提供者:闫文成
  1. ISE

    0下载:
  2. 介绍Xilinx公司FPGA/CPLD的集成开发环境——ISE软件的简单使用,该软件环境集成了FPGA的整个开发过程所用到的工具。主要介绍了用VHDL、VerilogHDL、原理图以及用ModelSim 仿真工具对设计进行功能仿真和时序仿真以及将数据流文件加载到FPGA等方面的内容。-Xilinx Inc. introduced FPGA/CPLD integrated development environment- ISE software simple to use, the softwa
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-27
    • 文件大小:825615
    • 提供者:shu
  1. DavinciEVM_CPLD-gamma

    0下载:
  2. 达芬奇系统 TMS320DM6446 CPLD-GMMA 原理图 -Leonardo da Vinci system TMS320DM6446 CPLD-GMMA schematic diagram
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-30
    • 文件大小:223646
    • 提供者:DsForM
  1. muc_cpld

    0下载:
  2. 51单片机与CPLD的总线通讯程序,简单,实用,内附单片机与CPLD引脚连接原理图。-51 MCU and CPLD bus communication procedures, simple, practical, enclosing the MCU and CPLD pin connections diagram.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:211260
    • 提供者:王宇
  1. photo_fee_system_code

    0下载:
  2. 用于altera maxii cpld的电话计费器示例程序和原理图-For the altera maxii cpld telephone meter sample application and schematic
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:77433
    • 提供者:lic
« 1 2 3 45 6 »
搜珍网 www.dssz.com